News Kein FDSOI: AMD setzt auf 20 nm und Finfets

Opteron

Redaktion
☆☆☆☆☆☆
Mitglied seit
13.08.2002
Beiträge
23.645
Renomée
2.254
  • SIMAP Race
  • Spinhenge ESL
  • BOINC Pentathlon 2012
In der gestrigen Telefonkonferenz zur Bekanntgabe der Quartalszahlen gab es auch eine überraschende Technikinfo. Im Frage- und Antwortteil am Ende der Konferenz gab Lisa Lu, Senior Vizepräsident und General Manager der Global Business Unit, Einzelheiten zur künftigen Planung bekannt.
(…)

» Artikel lesen
 
Zuletzt bearbeitet:
Kleine Frage, im Satz selbst ließt man doch nichts von Bulk.
Ist es also möglich dass man einen 20nm SoI Prozess nützen könnte und danach auf ein FinFet on SoI setzt ?

Das man Bulk und FinFet nützt lässt sich ja gut verfolgen wenn man an TSMC orientiert, aber wie schaut es mit GF aus. Werden die ihre Technik auf Bulk und FinFet umorientieren oder wird man auch Fertigungsprozesse mit SoI weiter verfolgen ?
Ist der 14nm FinFet tatsächlich auf Bulk oder vllt sogar auf SoI ?
http://www.electronicsweekly.com/el...ulk-the-future-of-process-technology-2013-06/

Also wo steht es sicher dass man kein fd-SoI und anschließend FinFet on SoI gesetzt wird ?

http://www.advancedsubstratenews.co...st-vs-performance-for-fd-soi-bulk-and-finfet/
Auch hier, fd-SoI würde doch deutlich mehr Sinn machen, weil der Prozess billiger, einfacher zu bewerkstelligen sein soll.
Also 20nm ist nicht gleich 20nm Bulk und wie gesagt FinFet muss nicht auf Bulk basieren.
Gibt es genaue Fakten über 14XM ob der auf Bulk basiert ? Denn ich erinnere mich, dass ja GF mal meinte der Prozess würde bessere Ergebnisse als bei der Konkurrenz versprechen.

Eventuell wird man 20nm fd-SoI auslassen um Geld zu sparen, deshalb 20nm Bulk, aber soviel ich mitbekommen habe, würde ja ein 20nm fd-Soi wirtschaftlicher sein. Hm da soll mal wer einen Durchblick bekommen. Ob man in Zukunft mehr und mehr bei TSMC produzieren lässt ?
 
Zuletzt bearbeitet:
Klingt gut. Dass der VI Nachfolger in 20 nm kommen würde, war ja abzusehen. Das lässt jetzt darauf hoffen, dass der Kaveri Nachfolger auf Excavator Basis auch in 20 nm kommt. Vielleicht geht mein Wünsch-Dir-Was-Wunsch ja doch noch in absehbarer Zeit in Erfüllung. ;D
 
Ich hatte gehofft das du (Operton) mehr kommentierst/interpretierst, trotzdem danke :-)

We need to move stronger into desktop and as we talked about a year ago
Quelle

Also doch noch FX Nachfolger?! Ohne GPU? Zu mindestens hat wurde es so auf computerbase.de interpretiert.
 
@Opteron Meinst du nicht das Lisa es rein auf die GPU/APU Sparte bezogen hat weil die Frage war ja
Hey guys, can you provide a roadmap for some granularity regarding process node transitions in 2014 and ’15 as it relates to FinFETs by graphics and APU?

Zumindest bei den GPU's würde
next couple of quarters
besser passen da steht ja schon "bald" der Wechsel auf 20nm an und vllt zum Refresh schon mit FinFets...

Man kann da sowieso viel interpretieren... da APUs mit in der Frage eingeschlossen waren könnte man auch denken das AMD bei GPUs als nächstes auf 20nm wechselt und dann die APU Sparte direkt von 28nm auf 20nm + FinFets wechselt...
 
Zuletzt bearbeitet:
Also doch noch FX Nachfolger?! Ohne GPU? Zu mindestens hat wurde es so auf computerbase.de interpretiert.
Halte ich für weit hergeholt. Das sie sich wieder mehr um den Channel kümmern wollen, haben sie ja schon in der Vergangenheit gesagt, nachdem sie mit Llano auf die Schnautze gefallen sind. Da hatten die OEMs ja Vorrang und im Channel hatte se dann versucht das veraltete Zeuch noch irgendwie loszuwerden, als es keiner mehr haben wollte. Oben drauf noch die tote Plattform FM1. ...

Im Augenblick deutet absolut nichts auf einen Nachfolger für den FX hin, der in den nächsten paar Quartalen erscheinen könnte. Sonst wäre etwas passendes auf der Server-Roadmap aufgetaucht. Da steht eben nur Warsaw drauf, was ein rundüberholter Vishera ist - um mal Desktop und Server-Codenamen zu vermengen.
 
Nächstes Jahr gibt es wahrscheinlich PD 20h Kerne (Rev. Dx) als Update.
2015 kann man mit neuer Fertigung entweder Steamroller oder bereits Excavator erwarten.
 
Was glaubt ihr, welche Fertigung Kaveri-Sr haben wird.
FD-SOI scheint in aller Munde zu sein: semiengineering.(com)/executive-briefing-soitec-ceo
 
Ich hatte gehofft das du (Operton) mehr kommentierst/interpretierst, trotzdem danke :-)
Hatte erstens nicht mehr Zeit und zweitens sowieso noch nen glaskugeligen Spekuartikel auf der Warteliste. ;-)
Also doch noch FX Nachfolger?! Ohne GPU? Zu mindestens hat wurde es so auf computerbase.de interpretiert.
Glaub ich nicht, "Desktop" ist das Massensegment, also APUs oder immer noch die alten Athlon X4 auf Propusbasis.
Die FXe liefen entweder unter "high-end desktop" oder "enthusiast".

Klingt gut. Dass der VI Nachfolger in 20 nm kommen würde, war ja abzusehen. Das lässt jetzt darauf hoffen, dass der Kaveri Nachfolger auf Excavator Basis auch in 20 nm kommt. Vielleicht geht mein Wünsch-Dir-Was-Wunsch ja doch noch in absehbarer Zeit in Erfüllung. ;D
Hehe, ja die Hoffnung stirbt zuletzt ^^
Bisschen wundern tut mich die Sache, dass sie doch auch noch auf 20nm Bulk gehen und nicht gleich auf Finfets, aber nach dem 28nm Debakel gehen sie wohl echt auf 1000% sicher und riskieren nichts.

@Opteron Meinst du nicht das Lisa es rein auf die GPU/APU Sparte bezogen hat weil die Frage war ja
Zumindest bei den GPU's würde besser passen da steht ja schon "bald" der Wechsel auf 20nm an und vllt zum Refresh schon mit FinFets...
Ne, denn außer GPUs oder APUs hat AMD ja nichts mehr.
Man kann da sowieso viel interpretieren... da APUs mit in der Frage eingeschlossen waren könnte man auch denken das AMD bei GPUs als nächstes auf 20nm wechselt und dann die APU Sparte direkt von 28nm auf 20nm + FinFets wechselt...
Jo das ginge noch, zuerst mit den GPUs vorfühlen und dann die APUs gleich auf 14XM. Wäre sogar sinnvoll, denn ich geh davon aus, das der Kaveri-Nachfolger Carrizo noch in 28nm ist. Da bliebe also genügend Zeit um einen 14XM-Nachfolger zu positionieren.

Kleine Frage, im Satz selbst ließt man doch nichts von Bulk.
Ist es also möglich dass man einen 20nm SoI Prozess nützen könnte und danach auf ein FinFet on SoI setzt ?
Das wäre noch der allerletzte Strohalm. Aber
a) Hätten sies dann gesagt
b) Gibts kein 20nm FDSOI, das läuft auch schon unter 14nm. Hätten sies gemeint, dann hätten sies explizit erwähnen müssen
c) IBM hätte PDSOI@22nm, aber das hat AMD Ende letzten Jahres ja "abbestellt"
Das man Bulk und FinFet nützt lässt sich ja gut verfolgen wenn man an TSMC orientiert, aber wie schaut es mit GF aus. Werden die ihre Technik auf Bulk und FinFet umorientieren oder wird man auch Fertigungsprozesse mit SoI weiter verfolgen ?
Ist der 14nm FinFet tatsächlich auf Bulk oder vllt sogar auf SoI ?
GF hat quasi das gleiche Angebot wie TSMC, da unterscheidet sich nichts mehr viel. Beide gehen auf gate last und beide bringen nen Finfetprozess bei 20nm, den GF "14XM" nennt und TSMC "16nm". GF bringt außerdem dank EU-Subventionen (vermutlich auf Druck Frankreichs) auch unser schönes FDSOI in DD online, aber wer das haben will ist derzeit unbekannt ...

http://www.advancedsubstratenews.co...st-vs-performance-for-fd-soi-bulk-and-finfet/
Auch hier, fd-SoI würde doch deutlich mehr Sinn machen, weil der Prozess billiger, einfacher zu bewerkstelligen sein soll.
Also 20nm ist nicht gleich 20nm Bulk und wie gesagt FinFet muss nicht auf Bulk basieren.
Ja FDSOI klingt super gut, aber die Quelle ist nur ne Werbeseite der SOI-Firmen. Die Wahrheit wird von den Mitbewerbern angezweifelt und da AMD unter der neuen Führung auf Nummer sicher geht, wagen sie keine Experimente.
Gibt es genaue Fakten über 14XM ob der auf Bulk basiert ? Denn ich erinnere mich, dass ja GF mal meinte der Prozess würde bessere Ergebnisse als bei der Konkurrenz versprechen.
Klar, such einfach nach 14XM, da gibts PDFs dazu.
Eventuell wird man 20nm fd-SoI auslassen um Geld zu sparen, deshalb 20nm Bulk, aber soviel ich mitbekommen habe, würde ja ein 20nm fd-Soi wirtschaftlicher sein. Hm da soll mal wer einen Durchblick bekommen. Ob man in Zukunft mehr und mehr bei TSMC produzieren lässt ?
Ja es klingt gut, aber auf lange Sicht scheint bulk dann doch billiger zu sein. Mit jedem Wafer den man bezahlen muss kommt die SOI-Steuer drauf. Je mehr Wafer -> desto teurer wirds. Massenware wie ARM oder Jaguar-Chips muss man daher in Bulk bringen.
Ja ich weiss, angeblich rechnet sich FDSOI am Ende doch durch bessere Yields und Backbias und und und .. aber selbst wenn das alles stämme scheints keinen zu interessieren ..
und wo genau kann man da erkennen das es kein fd-SOI geben wird?
Siehe oben.
 
Was glaubt ihr, welche Fertigung Kaveri-Sr haben wird.
FD-SOI scheint in aller Munde zu sein: semiengineering.(com)/executive-briefing-soitec-ceo
Ne kein FDSOI, der wird entweder bulk oder PDSOI. Bei letzterem ist die Hoffung dass der Prozess ähnlich zu IBMs 22nm PDSOI sein könnte, dessen Gateabstände und Parameter sind recht "grob", die 22nm-Bezeichnung also sehr optimistisch.
 
P.S: Wir können ja mal ne Crowdfunding für nen FSOI-ARM/Jaguar-SoC anfangen, 30 Mio oder so soll das kosten, wenn man nur ein bestehendes bulk-Design @FDSOI haben will, vielleicht auch weniger.

Wenns mit FDSOI nicht klappt, dann ist das Geld aber natürlich futsch *chatt*
 
Jo das ginge noch, zuerst mit den GPUs vorfühlen und dann die APUs gleich auf 14XM. Wäre sogar sinnvoll, denn ich geh davon aus, das der Kaveri-Nachfolger Carrizo noch in 28nm ist. Da bliebe also genügend Zeit um einen 14XM-Nachfolger zu positionieren.

Sozusagen die gleiche Fertigungsstrategie wie mit GPUs neuer Chip + 1 Refresh pro Prozess...

Ne, denn außer GPUs oder APUs hat AMD ja nichts mehr.

Das ist ja auch nicht weiter schlimm im normalen Desktop Segment bietet Intel ja eig. auch nur noch APUs an und falls mit EX die IPC wieder stärker ansteigt und für die APUs ja 65W als Verbrauchziel angepeilt sind könnte man auch APUs mit 2 Modulen(Quasi Quadcore) vllt auch mit 3? und deaktivierter GPU aber mehr Takt im oberen Desktop Segment anbieten bzw. falls sich das rechnet im Server Segment MCMs...

Sozusagen je größer der Verkaufspreis desto kleiner der GPU Anteil...
 
kaveri mit 3 modulen würde auch schon bei 28nm nicht unbedingt viel großer werden, da man eh eine eigene maske braucht kann man die GPU auch halbieren.
die zielgruppe für 6 kerne braucht wohl keine so starke integrierte GPU.
 
Die werte Frau "Senior Vice President and General Manager" heißt Lisa Su, nicht Lisa Lu *lol*
 
Es wird Zeit für eine Anpassung. Ich hätte gerne eine aktuelle Grafikkarte, aber kein neues Netzteil ;)
Besser als 7770 geht es wohl derzeit nicht.
 
vielleicht meint er das TSMCkein SOI hat.

da man aber kaveri eh nicht bei TSMC fertigen lässt ist das eigentlich ziemlich egal, bei GF hat man weiterhin auch fd-SOI als möglichen fertigungsprozess.
 
@Opteron
meinst mich mit Crowdfunding. Wen du das Know-How hast warum nicht;D

Zitat zu 28nm Bulk:
Bisher gibt es keine konkrete Aussage, ob AMD-Zulieferer Globalfoundries die für 2013 eingeplanten 28-nm-Versionen der Bulldozer-Prozessoren (Steamroller) auf FD-2D-SOI-Wafer produziert oder mit der Bulk-Si-Technik für 28 nm HKMG Gate-First, bei der zurzeit die Massenproduktion hochfährt.

Die Trinity-Version bietet doch Clock-Mesh , warum nicht weiter verwenden.
 
Ich komme einfach nicht dahinter was Du uns mit diesem Satz sagen willst...

Naja was will ich damit sagen. Auf der einen Seiten haben wir GF die für AMD in 32nm SoI Prozess fertigen und wie alle wissen dass man Anfangs einige Schwierigkeiten hatten und AMD sogar Strafzahlungen begleichen weil man ja bekanntlich irein Vertrag ausgestiegen ist.
Auf der anderen Seite haben wir TSMC, die für AMD die GPUs fertigen, die kleinen APUs die für AMD ein rießen Erfolg war und jetzt zusätzlich auch die neuen Konsolen-APUs.
Also da man mit TSMC so gute Erfahrungen gemacht hat, besonders eben neulich mit den Konsolen APUs, wieso soll AMD nicht deshalb auf Bulk setzen und dann auf FinFet ? AMD hat mit TSMC bis jetzt ja gute Erfahrung und eine gute Beziehung. Also wieso nicht die neuen Chips so designen dass sie sich super bei TSMC produzieren lassen. Wenn GF jetzt (was ich nicht wusste) einen 20nm FinFet Prozess liefert (14MX) habe ich ja vorhin nicht gewusst.
Ich hab nur schon öfters gelesen, dass es in Zukunft aber ebenso FinFet auf SoI geben könnte und nicht unbedingt auf Bulk. Fd-SoI wäre für das Consortium wahrscheinlich sowieso nur für herübergehend bis man eben FinFet auf SoI fertigen kann, eventuell will AMD aber keine Übergangslösung, obwohl sie wahrscheinlich im Prozess billiger kommen würde und einige Vorteile hätte. Dafür wäre aber das Risiko fd SoI zu verweden wohl wieder um einiges Größer als FinFet auf Bulk. Die können wie man ja jetzt durch Opteron Erfahren kann sowohl bei GF als auch TSMC bald verwenden.
 
Aja ein dicker+fetter Nachteil noch von FD-SOI:

Das basiert weiterhin auf Gate-First.

Ein Fab-Experte meinte im Anandtechforum, dass sie das nur machen, da sie schlicht das Know-How für Gate-Last nicht haben. Gate-Last ist nicht trivial, da muss man ein Platzhalter-Gate einsetzen, dass man am Ende wieder rausätzen muss, um es dann durchs echte Gate zu ersetzen. STM ist halt ein kleines Licht und kann sich GL vermutlich nicht leisten. Bei 28nm mag GateLast/First noch ne Ansichtssache gewesen sein, aber bei 20nm sollte man auf GL setzen.

GF dagegen hat die Ölmillionen, weswegen ihr 20nm Prozess Gate-Last ist, der darauf aufbauende 14XM natürlich auch.

Komischerweise hört man aber sehr wenig von GFs 20nm Prozess, obwohl der in GFs Roadmap für 2013 eingezeichnet ist. 14XM steht für 2014 im Plan. Von TSMC weiss man aber wenigstens, dass sie ab Februar (oder was Q2, bin mir gerade nicht sicher) 2014 20nm für die Produktion anfahren. GPUs dann ca. ein halbes Jahr später im Herbst, wäre praktisch 1 Jahr nach Hawaii.

@pipip:
AMD muss bei GF produzieren, ausgenommen sind nur die GPUs.

Finfets auf SOI gibts auch, ja, aber ob die Kosten den Nutzen aufwiegen ... das ist die große Frage. Bei 14nm (den echten) vielleicht.
 
Ein Fab-Experte meinte im Anandtechforum, dass sie das nur machen, da sie schlicht das Know-How für Gate-Last nicht haben.
Fab-Experte? So so. Für wen arbeitet er denn? Intel? *lol* Der GF SOI Prozess kommt von IBM. Wenn die nicht das Know-How haben, wer dann? Ich denke das ist eine reine Kostengeschichte. GF ist bei 32/28 nm vermutlich kostengünstiger und leichter beherrschbar. Das kann bei anderen Prozessen natürlich wieder anders sein.
 
Nö ehemals TI, Ex-Kollegen jetzt bei GF, deswegen weiss er ein paar Sachen, abgesehen davon hat er natürlich Fachwissen ohne Ende (im Vergleich zu uns hier ^^).

Zu 28nm und GF <> GL sagt er das:
I'm pretty sure, but not 100% confident, that TSMC's HKMG process is superior* in terms of electrical parametrics to GF's HKMG process.

GF wins on density (gate first does that, no one argues otherwise), and with their desire to sell wafers and take a lower margin in the process they certainly win out on price.

* the topic of "superior" when it comes to electrical performance is one that can be quite confusing to people who have little or no background in EE, IC design, or process node development (I am not saying you don't, just saying I am sure there are folks reading this thread who may fall into that category).

So...when I speak of one node being superior to another I am generally thinking of the case where we normalize all the drive currents and leakages observed when one is comparing transistors of the exact same width (not length), at the same operating temperature, the same operating voltage, and the same lifetime-reliability.

For example, take a given (arbitrary) circuit and implement it in GF's 28nm and TSMC's 28nm. Put it at 1V, on a hot-plate heated to 105C, and clock how fast it goes.

At the same density (same xtor width's for the xtors in the circuit), TSMC's is going to clock faster. Alternatively you could clock it the same as the GF circuit by lowering the voltage, now it clocks just as fast but consumes less electricity. Alternatively you could keep the same voltage but shrink the circuit (smaller xtor width) itself which until you reach the same clockspeed. Etc.

In all these ways the TSMC process is superior to the GF process because of one reason, it is gate-last so it has an automatic (unavoidable) benefit to the drive current that gate-first doesn't provide (can't provide).

There are good reasons to go with gate-first, but they generally entail lowering production cost (higher max densities, albeit at the expense of drastically lower clocks and performance on a normalized* basis) and are not pursued for the purposes of delivering superior electrical parametrics.

(tl;dr - there is a darn good reason why no one on earth will have a gate-first process, including IBM and GF, come 20nm
wink.png
)

Kurz: GL ist aus Stromspar bzw. Max-Frequenz besser, GF nur aus Flächensicht.

Zu GF@20nm ist das seine Meinung:
In general, companies that opt to go gate-first instead of gate-last are companies who simply don't have the resources necessary to make a production-worthy gate-last integration scheme on the timeline necessary for such a node to be relevant to the market when it finally comes out.

It is no different than the staggered introduction timeline (by node) for the industry's transition from aluminum to copper, or single-damascene to dual-damascene, or wet-etch back to CMP, or SiON gates to HKMG (be they gate first or gate last), or strain engineering in the channel...the list goes on and on.

There are key skills and competencies in terms of engineering and process know-how that must be available, internally, to the R&D team if they are going to be able to reliably and competently capture the risks and surmount the challenges that comes with the integration of these new features.

A team which lacks key skill in one area will find itself doing unusual (to the industry norm or expectation) things in its process integration scheme to essentially compensate for the intrinsic weaknesses in its own team.

(really no different than countries at war in which the generals have no choice but to go to war with the soldiers they have, which won't necessarily be the ones they want to have, and change their war strategies to mask the weaknesses while leveraging the strengths of their nation's war machine)

For specific example, to master gate-last integration you must have an absolutely top-notch CMP R&D engineering team. If you do not have a handle on your CMP processes, mastering the issues of dishing vs erosion vs yields vs process time vs corrosion vs etc, then your efforts to develop a gate-last process will be fruitless as the transistor variability will be all over the map, within die and across wafer.

In a lot of ways the decisions that get made regarding process flow literally come down to the strengths and weaknesses of specific people involved in the development of the processes themselves.

And in that sense what the process integration team is doing is they are not opting out of specific paths based on the technical benefits or tradeoffs of those paths, but rather they are essentially "engineering out" the weakest link in the R&D team personnel-wise.
Kurz: STM ist ein kleines Licht ohne GL-Know-How. Da hilft auch kein IBM. GF hätte Know-How, aber leider arbeiten sie da wohl nicht zusammen. Schade ... die eine Prozess-Info-Seite meinte letztens ja dass FDSOI mit Gate-Last topp wäre .. aber leider leider .. :(
Quelle: http://forums.anandtech.com/showpost.php?p=35433816&postcount=77 (und ein paar Posts vorher).

Ich cross-poste das mal auch noch im Fab-Thread.

Im Endeffekt wäre der Takt- oder Verbrauchsvorteil durch das Biasing wohl nur dazu da, um den Nachteil von GateFirst wettzumachen.
 
Zurück
Oben Unten