Kaveri - der Trinity Nachfolger

Mit Kaveri Anfang 2014 würde AMD gerade mal das erste Produkt auf GFs 28nm-Prozess liefern. Da bezweifle ich, dass AMD den 20nm-Prozess anfasst, bevor man überhaupt den 28nm-Prozess einigermaßen kennen gelernt hat, um ihn sinnvoll nutzen zu können.
AMD kennt keine Prozesse, AMD benutzt Prozesse und hofft dass GF sie gut genug kennt, dass genügend Chips übrig bleiben ;)
Wie man nen Yield-Shrink "nutzt" ist dabei das übliche ... mehr Caches mehr Transistoren ... wie bei jedem Die-Shrink auch, für AMD kein Problem.
Der 28nm-Prozess wird wenigstens 18 Monate laufen, bevor ein neuer Prozess anstehen dürfte. Bis dahin mag zwar der 14XM noch nicht ganz so weit sein, aber den 20nm wird man dann kaum mehr anfassen. Das wäre verplemperte Kohle, es sei denn, der 20nm-Prozess wäre besonders leistungsfähig (was ich aber ziemlich bezweifeln würde, nachdem was GF selbst über den 14XM im Vergleich zum 20nm erzählt).
Mißverständnis:
Nachdem die 14nm Nodes nur 1 Jahr nach 20nm eingeplant sind sollten sie eher die ins Visier nehmen...
Das "die" bezog sich auf 14nm, eben weil sie schon nur ein läppisches Jahr nach 20nm kommen. Da kann man sich 20nm gleich ganz sparen.

Wobei die ganze Zahlenjongliererei ziemlich sinnlos ist, aus Transistorendichte ist 14XM nur 20nm mit Finfets. Deswegen hat STM auch ihren 20nm FD-SOI Prozess in 14nm FDSOI umbenannt, schreibt zumindest EEtimes:
Where things get tricky, though, is that FDSOI at 20nm (which ST calls “14-nm FDSOI”)
http://www.eetimes.com/electronics-...inds-FDSOI-allies-and-ecosystem-?pageNumber=1

*chatt*

Also im Endeffekt doch 20nm *lol*

Aber im Ernst, finde ich gut, das geht schneller, sollte GF die 20nm nach Plan rampen und nicht wie die 28nm, sollte es Mitte 2014 mit Samples losgehen und Ende 2014 hoffentlich Massenfertigung für nen Launch Mitte 2015. Zwischendurch kann man vielleicht noch mit nem kleinen dual-core Jaguar bei 28nm FD-SOI antesten.
 
Ihr meint Ernsthaft das GF mit 14nm den Rückstand zu Intel weiter verringern wird, so wie schon mit dem 32nm Prozess?
MEGA-rofl

Eher dürften Kosten/Nutzen Rechnungen und technische Parameter die Entscheidung für oder gegen 20nm beeinflussen als der feste und beinahe religiöse Glaube an den Über-Prozess der da antreten wird alle Fertigungsparameter zu revolutionieren, dessen simpelste Parameter zu berechnen man aber im Nachhinein betrachtet leider nicht würdig war.
 
Bei den kleinen Strukturgrößen verschwimmen die Angaben ein wenig, ist bei Intel ja auch so. Cool wär ein FinFET + fdSOI (20nm) Kombiprozess für künftige AMD-CPUs.


Der Fertigungsrückstand wird sich automatisch verringern, weil der Ertrag auch für Intel abnimmt und die Kosten zunehmen. Würde der PC-Markt weiterhin brummen könnte auch Intel die Verkleinerung weiter forcieren, aber so muss auch Intel die Kosten im Auge behalten.
Mit 14(20)"nm" fdSOI ist man von Intels 14"nm" ja gar nicht mehr so weit weg.

Vielleicht sind einfach alle künftigen Prozesse von GloFo fdSOI. Es scheint ja massenweise Vorteile zu haben und GF scheint man bei GloFo ja nach wie vor zu lieben.
 
Zuletzt bearbeitet:
hot schrieb:
Der Fertigungsrückstand wird sich automatisch verringern, weil der Ertrag auch für Intel abnimmt und die Kosten zunehmen. Würde der PC-Markt weiterhin brummen könnte auch Intel die Verkleinerung weiter forcieren, aber so muss auch Intel die Kosten im Auge behalten.
Daher werden sie zunehmend auch als Auftragsfertiger tätig, vor allem für Unternehmen wo keine Konkurrenz besteht.
 
relativ gesehen ändert sich 14nm vs 20nm nicht viel zu 22 vs 32nm
Jupp, wobei man der Deutlichkeit halber in Zukunft das "nm" weglassen sollte, GF spricht ja nicht zufällig von 14XM. Mit Nanometer hats nun noch weniger zu tun als bei 45 und 32nm. 14XM ist schlicht der 20nm bulk 2D-Prozess - erweitert um Finfets.

Nicht mehr nicht weniger.

Intel dagegen wird sicherlich shrinken. Das mögen nun keine "echten" 14nm Gate-Length sein, wie schon 22nm bei 26nm lagen ... aber verglichen zu GF sollte es der gleiche Abstand bleiben. Da geb ich Twodee zu 100% recht.

@Markus:
Meintest Du mich? Ich sprach nur davon, dass GF den Prozess in Grafikkarten-Umbenennmanier von 20 auf 14 umetikettiert, ohne wirklich zu shrinken. Intel hab ich doch gar nicht erwähnt, aber vielleicht meintest Du nen anderen?

@hot:
Bei den kleinen Strukturgrößen verschwimmen die Angaben ein wenig
Zu vornehm formuliert, ich würde sagen, dass sie komplett sinnlos werden. Sieht man ja auch bei STM. GF kennzeichnet den Finfetprozess wg. der besseren Taktfähigkeiten und des geringeren Stromverbrauchs "14", und STM reagiert mit der gleichen Strategie. Damit ist auch klar, woher plötzlich der 10nm FD-SOI Prozess kam, das ist der alte (echte) 14er...

Alles nur Analystenverar****ung meiner Meinung nach. Bis ich das rausgefunden hatte, hats ganz schön gedauert, ein Finanzanalyst wird den Schmu wohl niemals checken.
 
Das "die" bezog sich auf 14nm, eben weil sie schon nur ein läppisches Jahr nach 20nm kommen.

Darauf bezog ich mich. Ich würde nicht darauf wetten dass der Zeitplan entgegen allen bisherigen Erfahrungen diesmal eingehalten wird.

@Twooedee:
Ich erinnere mich im Zusammenhang mit 14nm FinFET vs. 20nm gelesen zu haben das auch Intels P1270 im Grunde nur 28nm mit Finnen sei. Nur dummes Geschwätz? (bei Prozessen trau ich den Schlümpfen noch am wenigsten Schaumschlägerei zu )
 
Zuletzt bearbeitet:
Naja, GF muss jetzt langsam mal anders arbeiten, wenn sie ernsthaft mehr externe Kunden (also welche die noch nicht langfristig vertraglich an GF gebunden sind) wollen.....
 
Zuletzt bearbeitet:
Darauf bezog ich mich. Ich würde nicht darauf wetten dass der Zeitplan entgegen allen bisherigen Erfahrungen diesmal eingehalten wird.
Ach das .. na das ist doch nur Show, nur Finfets, kein echter Shrink, sollte spätestens mit dem letzten Posting klar geworden sein:
14XM ist schlicht der 20nm bulk 2D-Prozess - erweitert um Finfets.
Also das trau ich ihnen schon zu.
 
Nennt man 20nm FDSOI mit FinFets dann 10nm?
Die Namensgebungen sind alle ziemlich merkwürdig, aber wenn ein 20nm FDSOI vor dem 14nm XM Prozess kommen sollte, würde ich erst mal keinen dringlichen Grund sehen zu warten, beide Chips wären ungefähr gleich groß, was die Transistoren-menge einschränkt und von der Schaltzeit, Energieeffizienz usw. bleibt abzuwarten was letztendlich überhaupt besser ist...
 
Nennt man 20nm FDSOI mit FinFets dann 10nm?
Gute Frage ... im Moment siehts so aus:

20121212pcfdsoinode896wq98.jpg


Also (noch) nicht. Der 10nm ist der alte 14nm, aber parallel darunter gibts auch nen 2nd Gen. Finfet. Was das nun optisch bedeutet .. .keine Ahnung, wäre aber gut möglich, dass es wieder nur der 20nm Grundprozess ist, mit FDSOI und Finfets *lol*

Die Namensgebungen sind alle ziemlich merkwürdig, aber wenn ein 20nm FDSOI vor dem 14nm XM Prozess kommen sollte,
Naja, von vorher kann nicht die Rede sein, sagen wir so: Beide kommen nach 20nm LPM, ich würde sagen Pi*Daumen gleichzeitig.

beide Chips wären ungefähr gleich groß, was die Transistoren-menge einschränkt
Ne, denn FD-SOI nutzt ja GFirst, 14XM ist bulk mit GL, d.h. von 28nm GF gibts für 14XM nen Shrinkfaktor von 1,71, für FD-SOI sollte es dagegen 1,9x sein. (halt nahe am Maximum 2). ZU den Shrinks gabs letztens auch die Tabelle hier, war auf der letzten Seite (oder im Prozess-Thread) verlinkt:

1370261501-171-foundroyu6a.jpg


Maximale Taktfrequenz von 14XM ggü. 20LPM ist da +20%. Das schafft FD-SOI auch, mit BackBiasing eher mehr.
Wie sie die Rechenleistung per Watt berechnen weiss ich nicht, da FD-SOI aber auf LP-Transistoren basiert sollte das auch nicht schlecht abschneiden.

und von der Schaltzeit, Energieeffizienz usw. bleibt abzuwarten was letztendlich überhaupt besser ist...
Auf dem Papier ist FD-SOI auch da besser, v.a. durch den Backbias. 14xm ist ein low-power Prozess, FD-SOI auch, aber durch Backbias bekommt man dann halt doch High-Performance raus. STEs 28nm ARM A9 Kern@3 Ghz hat das gut demonstriert. 3 Ghz bei der kurzen Pipeline und und nem LP-Transistoren ist schon ne Ansage.
Mag sein, dass die Verlustleistung nicht mehr so toll war, aber das wär bei nem HP-Prozess ja genauso.

Preisfrage ist echt nur die Herstellbarkeit ... muss mal noch Suchen, welche SOI-Film-Schichtdicke bei 20nm FD-SOI im Unterschied zu 28nm benötigt wird.
 
Die Spekulation, dass Kaveri auch für Server Einsatz finden soll, hat sich ja vor ein paar Tagen endlich bestätigt.
Offen bleibt u.a., ob die für den Server Kaveri "Berlin" angekündigte Speicheranbindung mit zwei DDR3-1866 Kanälen (ECC) bei den Desktop-Modellen noch etwas aufgebohrt wird, etwa in Richtung von DDR3-2400.




 
DDR3-2133 wird Kaveri mindestens haben. Wäre ja sonst ein Rückschritt zum Richland.
 
Das macht keinen Sinn. fdSOI heisst ein sehr dünne Siliziumschicht, das würde die Fine in Ihrer Höhe sinnlos beschränken. Wenn dann FiNFET auf "normalen" SOI.

-->http://www.soiconsortium.org/pdf/Comparison%20study%20of%20FinFETs%20-%20SOI%20versus%20Bulk.pdf

Naja, so "normal" ist das auch nicht, bei Soitec ist es so dünn, dass es schon unter dem "FD"-Label verkauft wird (FD-3D):

http://www.soitec.com/en/products-and-services/microelectronics/fd-3d/

Allerdings mit ner dickeren Schichtdicke als ein normaler FD-SOI-Wafer für planare Strukturen. Wenn man der Beschreibung folgt, dann ist der Premium-SOI-Wafer das Produkt, dass AMD benutzte, der Finfet-Soi-Wafer ist also genau zwischen PD- und FD-SOI.
 
Naja, so "normal" ist das auch nicht, bei Soitec ist es so dünn, dass es schon unter dem "FD"-Label verkauft wird (FD-3D):

http://www.soitec.com/en/products-and-services/microelectronics/fd-3d/

Allerdings mit ner dickeren Schichtdicke als ein normaler FD-SOI-Wafer für planare Strukturen. Wenn man der Beschreibung folgt, dann ist der Premium-SOI-Wafer das Produkt, dass AMD benutzte, der Finfet-Soi-Wafer ist also genau zwischen PD- und FD-SOI.

FD 2D: 10-20nm
-->Ziel SOI möglichst dünn, Minimum begrenzt durch notwendige Kanaldicke (10nm?) und technologische Probleme

FinFET on SOI: Finhöhe=SOI Dicke, also eher 40-60nm
-->Ziel SOI möglichst dick, damit Kanalweite=2xFinenhöhe möglichst groß ist, begrenzt durch technologisch Probleme-->Poly-Strukturierung bei einer solcher Topografie

FinFETs sind grundsätzlich fully depleted.
 
Sehr interessant! Sollten die Angaben aus dem Screenshot zutreffen, und weiterhin 2MB Cache pro Modul verbaut sein, wäre das wohl ein ES mit 1 aktivierten Modul(?).
 
Sehr interessant! Sollten die Angaben aus dem Screenshot zutreffen, und weiterhin 2MB Cache pro Modul verbaut sein, wäre das wohl ein ES mit 1 aktivierten Modul(?).
Ne das ist eher ein Auslesefehler der Boinc-Software, da steht immer 2 MB, wenn ich mich recht erinnere.

Danke hier fürs Melden @Dresdenboy, werde ich später auch mal verwursten ^^
 
"Number of Processors" meint dann Threads oder Kerne?
Threads, also 2 Module a 2 Threads. Vom 4 Moduler Threader-Modul kann man nur träumen. Selbst einer derjenigen die das geleakt haben, meinten, dass es kein Steamroller wär.
 
Du meinst vom 4-fach CMT/SMT Modul ... was dann als starkes Indiz dafür gilt, dass der ominösen Die-Shot (wie du schon sagst) kein Steamroller ist.
 
Zurück
Oben Unten