News AMDs Server-Offensive: Istanbul, Magny Cours, Valencia

Nero24

Administrator
Teammitglied
Mitglied seit
01.07.2000
Beiträge
24.066
Renomée
10.446
  • BOINC Pentathlon 2019
  • BOINC Pentathlon 2020
  • BOINC Pentathlon 2018
  • BOINC Pentathlon 2021
Für regelmäßige Leser von Planet 3DNow! sind die folgenden Informationen natürlich keine Überraschung mehr. Schon seit Monaten sind hier die Pläne von AMD bezüglich des Hexa-Core Prozessors Istanbul, des 12-Kern Prozessors Magny Cours und diverse andere Infos zu lesen gewesen. Dennoch ist diese Meldung kein kalter Kaffee, denn nun hat AMD im Rahmen einer offiziellen Präsentation und Telefonkonferenz zahlreiche Punkte, die bisher lediglich Spekulation waren, offiziell bestätigt und darüber hinaus noch einige weitere Informationen preisgegeben.

<b>AMD Quad-Core Opteron EE</b>
Den Anfang von AMDs Serveroffensive machte heute bereits der neue Opteron EE, über den wir im Laufe des Tages <a href="http://www.planet3dnow.de/cgi-bin/newspub/viewnews.cgi?id=1240381365">berichtet hatten</a>.

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5471"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5471" border="1" alt="AMD Opteron EE"></a></center>

Es handelt sich dabei nicht wirklich um einen neuen Prozessor, sondern um einen Shanghai, der mit niedriger Taktfrequenz und Kernspannung auf minimalen Stromverbrauch getrimmt wurde. AMD spricht von einer ACP von 40 W und verspricht eine bis zu 62 Prozent bessere Effizienz pro Watt Leistungsaufnahme; allerdings gegenüber der flügellahmen Heizplatte "Barcelona", was aus dem Diagramm nur im Kleingedruckten hervorgeht. Aber wir wollen nicht meckern: dass der 45 nm K10 deutlich schneller ist, als der alte 65 nm K10-Kern und dabei trotz höherer Leistung auch noch weniger verbraucht, haben wir ja auf Planet 3DNow! bereits in <a href="http://www.planet3dnow.de/vbulletin/forumdisplay.php?f=164">mehreren Artikeln</a> gesehen.

<b>Istanbul</b>
Wie bereits mehrfach berichtet wird AMD noch in diesem Jahr seine Server-Prozessor Familie von 4 auf 6 Kerne aufbohren. Dieser Istanbul genannte Prozessor wird jedoch kein simpler Shanghai mit 6 statt 4 Kernen werden, AMD verspricht eine deutlich höhere Leistung im Integer-Bereich. Zudem soll der Istanbul umgerechnet auf gleiche Leistungsaufnahme 30 Prozent mehr Leistung erbringen, als ein Shanghai.

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5541"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5541" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a></center>

Dabei sollen die Technikpakete bestehend aus AMD-V suite und AMD-P suite...

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5545"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5545" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a></center>

...beim Istanbul um das sogenannte HT assist erweitert werden, was nun auch erstmals offiziell bestätigt wurde. Das ist nichts anderes als ein Snoop-Filter bzw. Probe-Filter. Während aktuelle Shanghai-Systeme die Cache-Kohärenz zwischen den einzelnen CPUs durch Broadcast-Signale wahren müssen, was zu einem erheblichen Traffic auf den Sockel-zu-Sockel HyperTransport-Links führt, kann der Istanbul eine Art Cache-Index erstellen, der im L3-Cache der CPUs abgelegt wird. Damit genügt es im Idealfall in diesem Index zu checken, ob irgendwo in dieser CPU aktuelle Cache-Daten liegen, ehe ein Cache-Miss feststeht, während bisher aufgrund der exklusiven Cache-Verwaltung der AMD-Prozessoren noch jeder Kern "gesnoopt" werden musste. Nachteil: der Index im L3-Cache reduziert den für Daten und Instruktionen nutzbaren L3-Cache.

Der Istanbul soll im Juni 2009 auf den Markt kommen. AMD spricht offiziell davon bei diesem Projekt um Monate vor dem eigentlichen Zeitplan zu liegen.

<b>Direct Connect Architecture 2.0 alias Magny Cours</b>
Im Jahr 2010 plant AMD mit einer weiteren Ausbaustufe der K10-Architektur. Dann soll Magny Cours, ein 12-Kern Prozessor, auf den Markt kommen. Hier allerdings bricht AMD mit seiner eigenen Philosophie, da es sich hierbei nicht um einen nativen 12-Kern Prozessor handelt, sondern um zwei "zusammengeklebte" 6-Kerner, die jedoch trotzdem in einem Die Platz finden.

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5559"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5559" border="1" alt="Magny Cours"></a> <img src="http://www.planet3dnow.de/photoplog/file.php?n=5544" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a></center>

Doch auch Magny-Cours wird kein doppelter Istanbul werden, sondern mit zusätzlichen Features aufwarten. So wird der Memory-Controller von Dual-Channel auf Quad-Channel aufgestockt und zudem auf DDR3 umgestellt, was die effektive Speicherbandbreite je Prozessor um den Faktor 3,3 gegenüber dem Shanghai erhöhen soll. Zudem erhält der Magny-Cours 4 statt derzeit maximal 3 HT-Links, was direkte Verbindungen zwischen den Nodes ermöglicht und damit Latenzzeit einspart.

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5546"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5546" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a> <img src="http://www.planet3dnow.de/photoplog/file.php?n=5549" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a></center>

Zudem wird der Magny-Cours bzw. dessen Plattform endlich das lange ersehnte AMD-Vi alias IOMMU alias I/O Memory Mapping Unit erhalten, was bei DMA-Zugriffen insbesondere bei Virtualisierung und beim Einsatz von 32-Bit Geräten in einer 64-Bit Umgebung erhebliche Vorteile bieten soll.

<b>Maranello und San Marino</b>
Magny-Cours und ein Nachfolger in 32 nm Bauweise namens Interlagos laufen im Rahmen der Maranello-Plattform. Der Markenname soll Opteron 6000 lauten. Die kleinere Serie und damit die Nachfolger von Shanghai und Istanbul mit 4-6 Kernen hören auf die Namen Lisbon (45 nm) und Valencia (32 nm; Bulldoze) und gehören zur San-Marino-Plattform. Wobei der Codename Lisbon irritiert, denn wie aufmerksame Leser wissen benennt AMD die Server-CPUs schon seit geraumer Zeit nach Austragungsorten von Formel 1 Rennen, wo AMD von 2002 bis 2008 als Sponsor und Technologie-Partner von Ferrari vertreten war. In Lisbon / Lissabon befindet sich jedoch keine aktuelle Formel 1 Rennstrecke, während alle anderen Codenamen (Magny-Cours, Istanbul, Interlagos und Valencia) sich an das bisherige Schema halten.

<center><a href="http://www.planet3dnow.de/photoplog/index.php?n=5551"><img src="http://www.planet3dnow.de/photoplog/file.php?n=5551" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></a></center>

Im Übrigen hat AMD sich erneut zu "echten" Kernen bekannt, während Mitbewerber Intel bekanntlich zusätzlich auf logische Kerne alias HyperThreading Technology alias Simultaneous Multi-Threading (SMT) setzt. Bis wenigstens 2012, so AMD, wird SMT im grünen Lager jedoch keine Rolle spiele.

<b>Bulldozer - was kommt nach dem K10</b>
Viel gab es nicht zu hören über die kommende Bulldozer-Architektur, die erste brandneue Architektur seit dem AMD K7 von 1999, die wieder auf einem weißen Platt Papier entstehen wird, während K8, K9 (Dual-Core K8) und K10 bekanntlich evolutionäre Weiterentwicklungen des K7 waren. 2011 wird es werden, so viel hat AMD verraten. Mehr jedoch nicht, sodass unsere Leser auch weiterhin in den Forenthread <a href="http://www.planet3dnow.de/vbulletin/showthread.php?t=317639&page=9">Bulldozer</a> und <a href="http://www.planet3dnow.de/vbulletin/showthread.php?t=354511&page=23">Was kommt nach Deneb (K10.5+)</a> weiterspekulieren dürfen.
 
Zwei pingelige Kleinigkeiten:

Zudem erhält der Magny-Cours 4 statt derzeit maximal 3 HT-Links
4 Links hat auch schon das K10 Die, vermutlich sogar der Sockel-F, nur gibts keine boards dafür ^^:
Barcelona-6.gif

(einer oben, einer unten, und zwei links)
Zudem wird der Magny Cours endlich das lange ersehnte AMD-Vi alias IOMMU alias I/O Memory Mapping Unit erhalten
Das Ding steckt im neuen ATi - Chipsatz. Ansonsten wäre ja auch schon Istanbul damit ausgestattet.
Sprich: Es ist eine Komponente der Maranello Plattform nicht des Magny-Cours Chips.

Edit:
Bei den AMD Folien, die nebeneinander in der Mitte der Meldung sind, lassen sich die zweiten nicht anklicken / vergrößern.

Edit:
Verflixt .. immernoch kein AM3 Istanbul in Sicht:
file.php

2P-8P, aber kein 1P :(

ciao

Alex
 
Zuletzt bearbeitet:
IOMMU:
steckt das nich bereits in den Shanghais ? - ich kann bei meinen im BIOS (Tyan S2915E) aktivieren !
 
IOMMU:
steckt das nich bereits in den Shanghais ? - ich kann bei meinen im BIOS (Tyan S2915E) aktivieren !
Also ich kenns nur als Chipsatzfunktion:
iommullkt.png

http://www.amd.com/us-en/assets/content_type/white_papers_and_tech_docs/34434.pdf

Aber mit den K10s gabs auch schon irgendeine I/O Verbesserung, das waren 2 unterschiedliche Sachen, wenn ich mich recht erinnere.

Wäre dann nur komisch, dass das in Deinem BIOS auftaucht *suspect*
Naja vielleicht ne Art Version 1.0/2.0.

Edit: Hier die alte Folie mit den IOMMU Info:
file.php

http://www.planet3dnow.de/cgi-bin/newspub/viewnews.cgi?category=1&id=1236013094

ciao

Alex
 
Zuletzt bearbeitet:
Ok...Bulldozer also 2011 in 32nm - wie gehabt.

Waren diese Infos eigentlich jetzt diese "Überraschungen" die (eigentlich morgen) kommen sollte?
 
Dieser Istanbul genannte Prozessor wird jedoch kein simpler Shanghai mit 6 statt 4 Kernen werden, AMD verspricht eine deutlich höhere Leistung im Integer-Bereich.
<center><img src="http://www.planet3dnow.de/photoplog/file.php?n=5544" border="1" alt="AMD Future of Server Technology Webcast - 22.04.09"></center>
Also ich lese die Folien so, daß bei Istanbul schon die mangelnde Speicherbandbreite den SpecFP_rate Wert (darauf basieren die AMD-Projektionen für Server immer) limitiert (im Gegensatz zu SpecInt_rate). Dies sieht man sehr deutlich aran, daß Magny Cour mit deutlich erhöhter Bandbreite wieder auf das gleiche Verhältnis von SpecInt zu SpecFP kommen soll.
Sehr erstaunlich finde ich, daß AMD den Quadcores insgesamt keine bessere FP-Performance im Vergleich zu den DualCores zubilligt. Habe jetzt mal nicht die Spec-Werte nachgeschlagen, glaube aber kaum, daß das stimmt. Wenn doch, liegt da offensichtlich so Einiges bei den Compilern im Argen.
 
Wobei der Codename Lisbon irritiert, denn wie aufmerksame Leser wissen benennt AMD die Server-CPUs schon seit geraumer Zeit nach Austragungsorten von Formel 1 Rennen, wo AMD von 2002 bis 2008 als Sponsor und Technologie-Partner von Ferrari vertreten war. In Lisbon (ebenso wie in Lissabon, falls man von einem Schreibfehler ausgehen würde) befindet sich jedoch keine aktuelle Formel 1 Rennstrecke, während alle anderen Codenamen (Magny-Cours, Istanbul, Interlagos und Valencia) sich an das bisherige Schema halten.
Es gibt eine beliebte Formel-1-Teststrecke in Portimão und es laufen gerüchteweise Gespräche über eine Wiederbelebung des Portugal-GP. Lissabon heißt auf Englisch Lisbon. Gut möglich, dass AMD Portimão als Codename nicht gut genug war und man deshalb Lisbon gewählt hat.
 
Es gibt eine beliebte Formel-1-Teststrecke in Portimão und es laufen gerüchteweise Gespräche über eine Wiederbelebung des Portugal-GP. Lissabon heißt auf Englisch Lisbon. Gut möglich, dass AMD Portimão als Codename nicht gut genug war und man deshalb Lisbon gewählt hat.
Mag sein, dass sie diese Teststrecke im Sinn hatten, die allerdings verglichen mit Barcelona, Jerez oder Valencia (der Rundkurs, nicht der Stadtkurs) kaum Relevanz im Testkalender der Formel 1 hat *noahnung* Wenn es denn unbedingt eine portugisische Rennstrecke sein musste, wieso nicht Estoril? Das war wenigstens in der Vergangenheit mal ein Austragungsort.
 
Mag sein, dass sie diese Teststrecke im Sinn hatten, die allerdings verglichen mit Barcelona, Jerez oder Valencia (der Rundkurs, nicht der Stadtkurs) kaum Relevanz im Testkalender der Formel 1 *noahnung* Wenn es denn unbedingt eine portugisische Rennstrecke sein musste, wieso nicht Estoril? Das war wenigstens in der Vergangenheit mal ein Austragungsort.
Die Strecke in Portimão ist wohl noch recht neu. Letzten Winter wurde dort erstmals getestet (mehrere Wochen, nicht nur 2 Tage oder so) und die Teams waren wohl recht angetan von der Strecke. Estoril ist einfach zu alt. Der letzte Grand Prix dort war 1996. Die Strecke kennen nur noch die alten Hasen. Okay, Portimão kennen auch nicht viele, aber immerhin besteht gerüchteweise die Chance, dass Portimão in Zukunft einen Grand Prix ausrichtet. Maranello wird von AMD auch als Codename genutzt (nicht für CPUs zugegeben) und das ist auch nur eine Teststrecke.
 
Wieder einmal kommen AMDs Beschwörungen der Geister 'genau zum richtigen Zeitpunkt', nämich dann, wenn die Dämonen des finanziellen Defizites unlängst die Bühne der Hier-und-Jetzt-Welt erreicht haben.

Entlarvent ist die Dialektik bezüglich 'SMT'. Wieder heißt es, man setze lieber auf 'echte' Kerne statt auf virtuelle. Diese Aussage darf man von jemandem erwarten, der softwaretechnisch im Jahre 1995 stehengeblieben ist. SMT erhöht praktisch den CPU Durchsatz/die IPC bei geringem Mehraufwand. Eine Leistungsmessung per Kern und per Takt geht hierbei eindeutig an Intel und diesen Vorteil wird Chipzilla in naher Zukunft auch noch ausbauen können, wenn mit Nachfolgemodellen der bisherigen Architekturen eventuelle gar vier logische Threads per physischem Kern möglich sein sollten. SUN macht es vor. Streichen wir das Schönlügen der bösen Welt einmal weg, bleibt von AMD im Moment nur ein fader Geschmack, den man beim Beobachten eines Schamanen haben muß, der, um ein Feuer hopsend, die Geister der Zukunft beschwört.

Die AMDschen Blockdiagramme zeigen nicht mehr die Schndeidigkeit eines Novums, wie man es noch 2004, 2005 oder 2006 gewohnt war. Hypertransport konkurriert mit QPI, QPI ist jung und ausbaufähig, über dessen Dynamik ist bislang wenig bekannt, liefert allerdings mindestens ein ebenso gutes Baukastensystem, wie es auf AMDs Seite Hypertransport macht. Das reißt wirklich niemanden mehr vom Hocker. Und während Intel mit nativen 8- oder 12 Kern-CPUs kokettiert und darüber gar Worte verliert, beginnt AMD jenen agonischen Aktionismus an den Tag zu legen wie Intel seinerzeit, als die technischen Mittel zum Konter fehlten. Es würde mich keinesfalls wundern, wenn Chipzilla AMDs Klebe-12- Kerne umgehend mit Klebe-16-Kernen kontert - und das mit einer bekanntlich deutlich leistungsfähigeren Basis-Architektur. Ich denke, das wissen die Profis unter den hier Weilenden längst. Bleibt nur zu sagen, daß mich ein wenig Enttäuschung erfaßt. Auch wenn sich AMD langsam finanziell erholen sollte, der bittere Beigeschmack dessen, daß sich eine Führungsgruppe die Taschen vollgestopft hat und dabei schmählich die entwicklung vernachlässige, ist schwer aus dem Munde zu bekommen. Und der Fehler, den man in der Vergangenheit gemacht hat - mit all seinem trügerischen Wortbeiwerk (um nicht von Täuschngen zu sprechen) - der wirkt sicher auch noch heute und so sind AMDs Bekundungen etwas vorsichtiger zu genießen ...

Wir reden aber von 'Kompatiblen', oder? Egal wie es aussieht, gekauft wird, was per Euro am schnellsten ist ...
 
Auch ich bin ziemlich verärgert über die Präsentation. Auch wenn ich anders als Drohne in SMT keinen echten Vorteil sehe, vielmehr Augenwischerei. Ich habe von dieser Präsentation auch keine bahnbrechenden Ideen erwartet sondern, das man das Potential was man hat, nutzt.

Was mich viel mehr ärgert, ist die Tatsache, daß man unter Druck sehr wohl plötzlich in der Lage ist Entwicklungen zu beschleunigen. Wie ist es sonst zu erklären das man Istanbul plötzlich 6 Monate vorziehen kann? Das man erst dem erscheinen der Nehalemplattform entdeckt das der Speicherdurchsatz verbesserungswürdig ist. Warum geht das nicht ohne Druck?
 
Was mich viel mehr ärgert, ist die Tatsache, daß man unter Druck sehr wohl plötzlich in der Lage ist Entwicklungen zu beschleunigen. Wie ist es sonst zu erklären das man Istanbul plötzlich 6 Monate vorziehen kann? Das man erst dem erscheinen der Nehalemplattform entdeckt das der Speicherdurchsatz verbesserungswürdig ist. Warum geht das nicht ohne Druck?
Das ist noch ok, so wies ausschaut hat seit dem 65nm K10 TLB Desaster ein Inder die Chef(entwickler)position inne, und seit der dran ist scheints besser zu laufen.

Die Zeitplan selbst war aber halt schon älter :)

Was hast DU gegen SMT ? Sicher, für single-thread performance bringts nicht, aber für mehr Durchsatz ist es doch ganz nett.

ciao

Alex
 
Das ist noch ok, so wies ausschaut hat seit dem 65nm K10 TLB Desaster ein Inder die Chef(entwickler)position inne, und seit der dran ist scheints besser zu laufen.

Die Zeitplan selbst war aber halt schon älter :)

Was hast DU gegen SMT ? Sicher, für single-thread performance bringts nicht, aber für mehr Durchsatz ist es doch ganz nett.

ciao

Alex
War es nicht ein indisches Team, welches das K10-Desaster verursachte?...^^
 
Der Istanbul soll im Juni 2009 auf den Markt kommen. AMD spricht offiziell davon bei diesem Projekt um Monate vor dem eigentlichen Zeitplan zu liegen.
Ich finde, das ist noch der interessanteste Punkt. Also irgendwas hat AMD intern geändert. Entweder es liegt nur daran, daß ihre Roadmaps weniger optimistisch formuliert sind (das wäre immerhin schon was, wenn man nicht immer ein ganzes Jahr draufrechnen muß^^) oder es wurden tatsächlich irgendwelche Abläufe gestrafft.

Der zweite interessante Punkt:
Dieser Istanbul genannte Prozessor wird jedoch kein simpler Shanghai mit 6 statt 4 Kernen werden, AMD verspricht eine deutlich höhere Leistung im Integer-Bereich.
Ich hoffe, das ergibt sich nicht nur rechnerisch irgendwie aus dem "HT Assist", sondern ist tatsächlich eine Core-Änderung. Diese sollte imho auch möglichst zügig in die Desktop-CPUs einfließen, da wäre es auch sehr wichtig.
 
Ich glaube ja langsam eher, dass diese ganzen Aussagen bezüglich des "vorzüglich funktionierenden" 45nm-Prozesses die ab Mitte 2008 seitens AMD kamen wohl absolut der Wahrheit entsprachen und sie nun fast nichts machen mussten um einen "kränkelnden" Prozess erst zum Laufen zu bekommen, sondern das Hauptaugenmerk auf die Prozessoren selber legen und nebenbei noch Feintuning betreiben konnten.
Das wird wohl einige Monate erkauft haben.
 
Der zweite interessante Punkt:
Ich hoffe, das ergibt sich nicht nur rechnerisch irgendwie aus dem "HT Assist", sondern ist tatsächlich eine Core-Änderung. Diese sollte imho auch möglichst zügig in die Desktop-CPUs einfließen, da wäre es auch sehr wichtig.
Das ist ziemlich sicher der snoop filter, wenger Wartezeit -> Weniger Pipline Idletime -> > mehr Arbeitszeit -> bessre benchmarks

Istanbul hat schon mal 2,6 GHz:
ciao

Alex
 
Zuletzt bearbeitet:
Ich habe im Prinzip nichts gegen SMT. Ich verstehen nur nicht das eine CPU ohne SMT ein Entwurf aus der Mottenkiste ist. Wenn man anders zum Ziel kommt ist das auch in Ordnung. Fussball ist bekannt herausragenden Weisheiten. Aus dem Ruhrgebiet gibt es eine, die die Sache auf den Punkt bringt: Die Wahrheit liegt auf'm Platze. Bedeutet, letztlich zählt was eine CPU leistet, welche Leistungsaufnahme sie hat und was sie kostet. Wie der Hersteller dies realisiert ist für den Kunden egal. Ob ich 30qmm Chipfläche mit 2 Kernen(AMD) oder mit einem Kern + SMT(Intel) fülle, ist aus meiner Sicht als Laie nicht wichtig.
 
Statt uns die letzten 4-5 Seiten zum durchlesen zu empfehlen, könntest du auch einfach in 2 Sätze erklären, was SpMT ist...*chatt*
Ok, kürzeste Erklärung für die normale P3D Schar:

Reverse / anti Hyperthreading ;-)

Von SpMT (Speculativ Multithreading) selbst gibts 2 Definitionen, die sich unterscheiden, bitte dazu den Link in meinem letzten Posting in obigen Thread beachten.

Aber wens nicht tiefer interessiert, sollte bei Anti-HTh bleiben ;-)

ciao

Alex
 
Ok, kürzeste Erklärung für die normale P3D Schar:

Reverse / anti Hyperthreading ;-)

Von SpMT (Speculativ Multithreading) selbst gibts 2 Definitionen, die sich unterscheiden, bitte dazu den Link in meinem letzten Posting in obigen Thread beachten.

Aber wens nicht tiefer interessiert, sollte bei Anti-HTh bleiben ;-)

ciao

Alex
Ah ok, also diese Technik, die die Milchmädchen-Rechnung von den meisten nicht versierten á la "4 Kerne mit je 3 GHz = 4x3GHz = 12GHz" versucht zu realisieren?
 
Ah ok, also diese Technik, die die Milchmädchen-Rechnung von den meisten nicht versierten á la "4 Kerne mit je 3 GHz = 4x3GHz = 12GHz" versucht zu realisieren?
Jupp :)

Wenn das Projekt nicht gestrichen wird, dann kommt Ende des Jahres die erste CPU mit sowas in Form des Sun Rock Prozessors. Bei dem ist das ganz clever, da die dort auch noch SMT einsetzen. Damit wird - wenn man so will - 1 Pipline mit 1 Thread doppelt belegt ;D

Das ist jetzt allerdings wirklich milchmädchenhaft ausgedrückt ;-)

Ausserdem weiss keiner, ob Oracle den Sparc Laden zumacht.

ciao

Alex
 
Zurück
Oben Unten