Spekulationen zu aktuellen und zukünftigen Prozessen bei GlobalFoundries (<= 32nm)

Ja, aber das muss ja nicht viel heißen .. man hatte auch mal den eigenen 14XM-Prozess.
Spätenstens mit der vollen IBM-Übernahme können sie dann aber natürlich loslegen.
Clever wär es sich die Arbeit aufzuteilen, Samsung die low-power Prozesse zu lassen und sich selbst auf die HP-Prozesse zu konzentrieren, aber mal schauen, wie das ausgeht, HP scheint ja irgendwie out zu sein.
 
Ja, aber das muss ja nicht viel heißen .. man hatte auch mal den eigenen 14XM-Prozess.
Spätenstens mit der vollen IBM-Übernahme können sie dann aber natürlich loslegen.
Clever wär es sich die Arbeit aufzuteilen, Samsung die low-power Prozesse zu lassen und sich selbst auf die HP-Prozesse zu konzentrieren, aber mal schauen, wie das ausgeht, HP scheint ja irgendwie out zu sein.
Nun ja - so gänzlich absagen sollte man High-Performance Prozesstechnik im Sub-28nm Bereich bei Global Foundries nicht. Ich kann auch einen Grund nennen.

Mit dem Verkauf der Halbleiterfertigung von IBM (wobei "Verkauf" etwas merkwürdig klingt, wenn der vormalige Eigentümer sogar noch Geld oben drauf legt, damit AMDs ehemalige eigene Chipfertigung wirklich übernimmt), ging Big Blue auch die Verpflichtung ein, auf absehbare mittelfristige Zukunft bei GF noch Chips fertigen zu lassen. IBMs Krönung der eigenen Halbleitersparte war der Power8 in High-Perferormance 22nm SOI-Fertigung. Und weil IBM seine Power-Familie forcieren will (x86-Serversegment an Lenovo verkauft), dürften die Nachfolgeaufträge von IBM und Partnern recht interessant sein. Und wenn erst mal ein Fertigungsprozess etabliert ist ... dann stehen AMD interessante Möglichkeiten offen ...

MFG Bobo(2015)
 
Zuletzt bearbeitet:
Nun ja - so gänzlich absagen sollte man High-Performance Prozesstechnik im Sub-28nm Bereich bei Global Foundries nicht. Ich kann auch einen Grund nennen.
(...)
Und wenn erst mal ein Fertigungsprozess etabliert ist ... dann stehen AMD interessante Möglichkeiten offen ...

Ja außerdem will AMD jetzt ja wieder dickere Kerne bauen ... da würde ein SHP-Prozess wohl auch nicht unbedingt stören.
Nachdem GF IBM jetzt schlucken wird müsste AMD wohl auch nichts mehr extra dafür bezahlen.
 
Ein Interessanter Artikel spekuliert meiner Meinung nach zurecht, dass AMDs Skybrdige Projekt eben dem adaptieren des Samsungs 14nm Prozesses zu verdanken ist. Da eben 14XM 20nm basierend war und diese für Skybridge vorgesehen waren, blieb AMD wohl asl einziger Kunde für die 20nm übrig. GF bot ihnen wohl an dies als Custom-Prozess weiter zu führen an, doch AMD hatte ja seine strategie neu ausgerichtet und nur noch "Mainstream"-Prozesse zu verwenden angekündigt. Man sieht auch auch fehlende Wafersupply-Strafzahlungen in 2014 als Indiz für eine Art Kompensation für das droppen der 20nm seitens GF.
http://www.extremetech.com/computin...for-amds-about-face-on-joint-x86-arm-platform
The rumor from BitsandChips.it (Google Translated version available here) is that GlobalFoundries decided to kill its 20nm process, leaving AMD without a vendor partner for its HSA-capable 20nm iteration of Jaguar. If you recall, one of the major features of Project Skybridge was supposed to be platform compatibility with its ARM efforts. When AMD announced this in 2014 it was an ambitious move, but also one that made some sense: HSA would come to AMD’s lower-end parts, the ARM and x86 common platform would open the door to a standardized socket for all AMD hardware, and Sunnyvale would have a fast 20nm follow-up to the 28nm Cortex-A57 “Seattle” core that was originally supposed to debut in late 2014. So could GlobalFoundries have effectively killed AMD’s ambitions?

Darauf basierend denke ich wird es keine AMD exklusiven Prozesse mehr geben wenn sich nicht etwas ändert an der Strategie. Ausser vieleicht für SEMI-Custom wenn der Kunde das bezhahlen will. Doch das wird wohl eher keiner machen wollen.
 
Hmm also da müsste dann Crashtest noch was dazu sagen, er meinte doch, dass es 20nm Shrinks der KonsolenAPUs gäbe.
Solange die nicht auch gestrichen wurden, muss es nen passenden 20nm Prozess geben ... oder werden die bei TSMC hergestellt? Dann hätte GF von Anfang an aber nichts damit zu tun gehabt.
 
Was die IBM Prozesse betrifft, bin ich weniger euphorisch. In der Vergangenheit sah es für mich oft so aus, dass die gemeinsame Forschung von AMD mit IBM den Pferdefuß hatte, dass IBMs Interesse darin bestand, absolutes Highend für die eigenen Prozessoren zu schaffen, wobei die Kosten pro DIE kaum eine Rolle gespielt haben. AMD musste sich dann bemühen, den Prozess massentauglich zu machen, was IBM schnurzpiepe war.
Insofern würde ich erst einmal abwarten, inwieweit IBMs 22nm pdSOI Prozess für AMD von Belang ist.
MfG
 
Was SOI angeht, ist für AMD vermutlich eher der 22nm FD-SOI Prozess interessant, der gerade bei GloFo entwickelt wird und der in Zukunft im Dresdner Werk zur Anwendung kommen soll.
 
Nun, was sollen sie damit Fertigen? CPU/GPU sind schon für 14nm geplant. Sollen die wieder ein Strukturgrösse grösser gehen, während die Konkurenz auf 10nm geht?
 
Interessante Quelle zu 22nm SOI:
https://www.semiwiki.com/forum/content/4630-asmc-2015-globalfoundries-22nm-soi-plans-more.html
During the question and answer session I asked Dr. Caulfield about GlobalFoundries SOI plans. He replied that they are developing a 22nm process in Maltafor manufacturing in Dresden. The goal is 14nm FinFET performance at 28nm costs. This would certainly be an interesting process if they can meet that goal. I do worry that GlobalFoundries appears to pursuing a lot of different directions for leading edge processes. IBM has a 14nm FinFET on SOI process with trench DRAM they will presumably have to support for server products, GlobalFoundries and Samsung have a 14nm FinFET on bulk process for general foundry use and GlobalFoundries is now developing a 22nm SOI process. That strikes me as a lot of leading edge processes for one company to support.
Auch die konkreten Zahlen sind mal interessant:
GlobalFoundries view is that 28 nm will be a long lived node, 20nm will be a short lived node and 14nm will go on “forever”. 14nm offers “power, performance and cost” and many people are designing out of 28nm into 14nm skipping 20nm.

But there is a cost to these innovations, new materials and masks are rapidly piling up. For example there is a slide in the presentation showing approximately 40 masks at 65nm rising to approximately 57 masks at 28nm and approximately 68 masks at 16nm/14nm. This growth in process complexity drives up costs, reduces yields and increases cycle times. Dr. Caulfield noted that even at 1 day or 0.8 days per mask these processes take a long time to complete. The capital required for these processes are also increasing from around $65 million dollars per thousand wafers out at 65nm to around $100 million dollars for 28nm and around $135 million dollars at 16nm/14nm. The resulting improvements in transistor density are more than offsetting this so far, but the cost of entry is limiting the number of players at the leading edge (more on that below).

Design costs are also rapidly rising from approximately $60 million dollars at 65nm to around $140 million dollars at 28nm and nearly $500 million dollars at 16nm/14nm. Clearly only the highest running parts can provide a reasonable return on such a huge investment.
 
Interessante Quelle zu 22nm SOI:
https://www.semiwiki.com/forum/content/4630-asmc-2015-globalfoundries-22nm-soi-plans-more.html

Auch die konkreten Zahlen sind mal interessant:

Bei ca. 5 Milliarden Umsatz p.a. (Quelle) sind das schon heftige Summen. Da wird das Dilemma von AMD deutlich, Intel macht knapp das Zehnfache (Quelle), Qualcomm knapp das Fünffache an Umsatz (Quelle).

Ohne Käufer wird das wohl auf Dauer nix, auch für solche "Krauter" wie Mediatek (Quelle) wird IMHO bei solchen Summen die Luft ziemlich dünn.
 
Also die These, dass Samsung sich auf den 10nm FF Time-to-Market-Prozess konzentriert und GloFo den Qualitätsprozess dazu baut klingt ja nach dem, was letztens irgendwo zu lesen war, nämlich, dass Samsung plane einen 10nm FF LPE und einen 10nm LPP mit FDSOI zu liefern. Dann wäre letzteres auf GloFo/IBMs Mist gewachsen. Ich glaube nicht, dass sich diese Unternehmen forschungstechnisch so schnell wieder trennen werden.
 
Samsung ändert auch seine Produkt Startegie und will verstärkt Stacked ICs produzieren. Manschaue sich mal die Ankündigung für stacked DDR4 RAM an mit TSVs:
http://community.cadence.com/cadenc...igh-bandwidth-memory-hmb-will-transform-drams
In August 2014, as Tabrizi noted, Samsung announced that it has started mass production of the industry’s first 64GB, DDR4 RDIMMs that use 3D TSV packaging technology. The new RDIMMs include 36 DDR4 DRAM chips, each of which consists of four 4Gb DDR4 DRAM dies. According to Samsung, the new 64GB TSV module performs twice as fast as a 64GB module that uses wire bonding, while consuming half the power.

Dies könnte der entscheidende Baustein für kommende APUs werden.
Kann mir jemand sagen was mit diesem Buffer gemeint ist der bei "wire bondig" benötigt wird?
Stacked dies are not new in the memory market, but TSVs are. “Conventional stacked dies use wire bonding,” Tabrizi said. “You have to add a data buffer to deal with loading issues, and all of that costs a lot of power. By using TSVs you don’t have wire bonds – you connect the pads and the vias together through these TSVs, and you eliminate the need for a buffer.
Die meinen doch nicht etwa Cache? Ich habe bisher auch noch nichts über eine Cache Architektur mit HBM oder TSV-Anbindung gelesen..das wäre mal eine Recherche wert od dies identisch sein kann oder ob es da Änderungen geben wird.

Hier ist eine Übersicht der Produktionslinien zu finden:
http://us1.campaign-archive2.com/?u=77cdbf15a0a91de4d98102b0a&id=63c68ce146&e=329d3c45de
51b8e4a7-a620-4647-8ab9-93644c72bfaa.png
 
Zuletzt bearbeitet:
Nun, was sollen sie damit Fertigen? CPU/GPU sind schon für 14nm geplant. Sollen die wieder ein Strukturgrösse grösser gehen, während die Konkurenz auf 10nm geht?
Genau. 22FDSOI wird eher was für nen Massenmarkt, also >300Millionen Stückzahlen. Also alles was heute in 28nm hergestellt wird/kann/könnte.
Man bedenke wie viele Produkte noch mit deutlich höheren Strukturbreiten arbeiten.
Nicht nur "mobile" Produkte werden in so großen Mengen gefertigt.
Schaut man sich mal Festplattencontroller, TV-Boards ,... an.
 

IBM spricht bei 22nm doch PD und nicht FD, oder hat sich da letztens was daran geändert.
Was aber nichts an deiner Antwort ändert. Und zeigt AMD wird nichts davon haben.
 
Zuletzt bearbeitet:
GloFo fährt zur Zeit dreigleisig. PD-SOI Prozesse entwickelt und verwendet in den alten IBM Werken. Der von Samsung lizensierte 14nm Prozess und ein selbst entwickelter FD-SOI Prozess.
Diese Aufteilung macht durchaus Sinn, IBMs SHP Prozess werden sie noch eine ganze Weile weiterentwickeln müssen, weil sie sich bei der Übernahme der IBM-Werke dazu verpflichtet haben.

Mit der Lizeniserung des Samsung Prozesses geht man kein Risiko ein, spart Entwicklungsresourcen und kann trotzdem die modernste Fertigung anbieten.

Der eigene FD-SOI Prozess ist hingegen auf ein optimales Verhältnis von Preis, Leistung und Verbrauch ausgelegt. Damit dürfte er der langlebigste Prozess sein, aber eben nicht die absolute Leistungsspitze erreichen.
 
IBM spricht bei 22nm doch PD und nicht FD, oder hat sich da letztens was daran geändert.
Was aber nichts an deiner Antwort ändert. Und zeigt AMD wird nichts davon haben.

Darum gehts nicht. Siehe Seite vorher.
 
Hamse auch. Den 10nm verlieren sie auch.
TSMC glaubt doch kein Mensch mehr, nach den ganzen Verzögerungen die sie sich erlaubt haben, im Gegensatz zu Samsung die überpünktlich ( 14nm ) abgeliefert haben und das mit Vorankündigung, wie jetzt mit 10nm.
 
Naja, TSMC war in der Vergangenheit häufig schneller als Samsung und das trotz vieler Verspätungen. Dieses mal war Samsung schneller, hat aber den 20nm-Prozess auch übersprungen. Beim 10nm-Prozess ist es mMn ein offenes Rennen. Allerdings war die schnelle Verfügbarkeit des 14nm Prozesses ein großer Erfolg für Samsung, mit dem sie einige neue Kunden gewonnen haben, die vielleicht selbst bleiben, wenn der 10nm ein wenig später kommen würde als bei TSMC, weil man ohne guten Grund nicht den Fertiger wechseln will.
 
Schneller ja, doch seit 40nm nicht mehr zuverlässig. Die Verschiebungen der Prozesse und auch die Tücken der jeweiligen Prozesse komuniziert TSMC scheinbar nicht so kundenfreundlich. So dass die Hersteller für GPUs im Highend zurecht nach Alternativen suchen die auch weniger "optimistisch" mit den Kenndaten ihrer Prozesse umgehen.

Hier gab es mal den einen oder anderen Einblick seitens Anand wie das von statten geht bei einem ausführlichen Artikel über Cypress seiner Zeit in 40nm.
 
Wenn TSMC jetzt erst anfängt 10nm zu sampeln denke ich, dass sie schon wieder weit hinter Samsung sind... TSMC scheint es nur für nötig zu halten, das zu kommunizieren. Kein Wunder bei dem Rückstand zu 14nm, das ist ja schon gewaltig. Bei Samsung sind Ende 2016 schon erste Endprodukte in 10nm LPE zu erwarten, wenn alles gutgeht. Viel vorher braucht man nicht mit TSMC 16FF+ Endprodukten zu rechnen, es gibt ja jetzt schon Stimmen, die behaupten, dass TSMC dieses Jahr keine 16FF+ Tapeouts mehr schafft.
Hinzu kommen die ganzen FDSOI-Geschichten, auf die TSMC ja genau gar keine Antworten hat.
 
Zuletzt bearbeitet:
Übrigens:

GLOBALFOUNDRIES Solidifies 14nm FinFET Design Infrastructure for Next-Generation Chip Designs

  • In partnership with leading EDA providers Cadence, Mentor Graphics, and Synopsys, GLOBALFOUNDRIES develops new digital design flows.
  • New digital design starter kit integrates process design kit (PDK) and early access standard cell libraries.
  • The new design flows have been optimized to solve challenges associated with the critical design rules of 14nm FinFET technology.
GLOBALFOUNDRIES is yielding on its 14nm technology and is on schedule to support multiple product tape-outs and volume ramp in 2015.
 
Viel vorher braucht man nicht mit TSMC 16FF+ Endprodukten zu rechnen, es gibt ja jetzt schon Stimmen, die behaupten, dass TSMC dieses Jahr keine 16FF+ Tapeouts mehr schafft.
Nvidia’s ‘Big Pascal’ GPU reportedly taped-out, on-track for 2016 launch – rumour
the timeframe of the tape-out, it is highly likely that Nvidia uses TSMC’s advanced 16nm FinFET+ (CLN16FF+) manufacturing technology. According to the post, the BP100 is Nvidia’s first 16nm FinFET chip and the company has changed its approach to roll-out of new architectures
Nvidia hat den Tapeout des GP100 bekannt gegeben, doch noch fehlt die offizielle Bestätigung für 16nm FF bei TSMC. Man geht aber wohl Aufgrund des Zeitrahmens davon aus:
Given the timeframe of the tape-out, it is highly likely that Nvidia uses TSMC’s advanced 16nm FinFET+ (CLN16FF+) manufacturing technology. According to the post, the BP100 is Nvidia’s first 16nm FinFET chip and the company has changed its approach to roll-out of new architectures. Instead of starting from simple GPUs and introducing biggest processors quarters after the initial chips, Nvidia will begin to roll-out “Pascal” with the largest chip in the family.
Die nennen es BP100 wegen "Big Pascal"
 
STM hat seinen 14nm fdSOI ULV Prozess etwas verbessert, wie kommende Woche auf dem VLSI Symposium in Kyoto berichtet (PDF zur Technologie Veranstaltung, Seite 15, Abschnitt 13-1) wird.
Im Circuit Programm (PDF, Seite 25, Abschnitt 23-2) wird interessanterweise ein RISC-V basierter Vector Prozessor in 28nm fdSOI beschrieben mit einer Effizienz von 26.2 DP GFLOPS/W.
MfG
 
Zurück
Oben Unten