AMD Zen 3 Architektur im Detail

Artikel-Index:

Änderungen – CCX-Topologie und L3-Cache

CCX-Topo­lo­gie und L3-Cache

Die offen­sicht­lichs­te und seit lan­gem bekann­te Ände­rung bei Zen 3 gegen­über Zen 2 ist der Auf­bau des Core Com­plex (CCX). Bis­her bestand ein Com­pu­te-Die (CCD) aus zwei CCX von je 4 Ker­nen, die jeweils einen eige­nen L3-Cache mit 16 MB Grö­ße besa­ßen. Woll­ten Ker­ne aus ver­schie­de­nen CCX mit­ein­an­der kom­mu­ni­zie­ren, muss­te dazu über den Infi­ni­ty-Fabric-Link (IF) zuge­grif­fen wer­den, was deut­lich mehr Latenz erzeug­te als der Zugriff inner­halb eines CCX. Auf den Last-Level-Cache des frem­den CCX konn­te gar nicht zuge­grif­fen wer­den. Gera­de bei Spie­len, die nicht am GPU-Limit hin­gen und auf Moni­to­ren mit hoher Bild­wie­der­hol­ra­te oder deak­ti­vier­tem VSync mög­lichst hohe Frame­ra­ten errei­chen soll­ten, war die­se Bau­wei­se nach­tei­lig und eine der letz­ten gro­ßen Domä­nen aktu­el­ler Intel-Prozessoren.

Bei Zen 3 hat AMD die­se Topo­lo­gie nun geän­dert. Ein CCD besteht nicht mehr aus zwei CCX mit je 4 Ker­nen und sepa­ra­ten L3-Caches, son­dern aus einem CCX mit 8 Ker­nen und gemein­sa­mem L3-Cache. Die Model­le mit 6 und 8 Ker­nen kön­nen so über 32 MB Last-Level-Cache ver­fü­gen und mit­ein­an­der kom­mu­ni­zie­ren ohne Umweg über das IF. Welch posi­ti­ven Effekt das haben kann, hat­te bereits die Ein­füh­rung des Ryzen 3 3300X ange­deu­tet, bei dem ein CCX kom­plett deak­ti­viert wur­de und sei­ne 4 Ker­ne inner­halb eines CCX behei­ma­tet waren. Genau­so ist das nun auch bei Ryzen 5000, mit dem Unter­schied, dass es nicht mehr 4 Ker­ne und 16 MB L3 sind, son­dern 8 Ker­ne und 32 MB, die hier ver­zö­ge­rungs­arm mit­ein­an­der kom­mu­ni­zie­ren dür­fen. Ande­rer­seits darf nicht ver­schwie­gen wer­den, dass AMD die Band­brei­te aus dem L3-Cache nicht ver­än­dert hat. Wäh­rend also bis­her nur 4 Ker­ne aus dem L3-Cache nuckel­ten, sind es nun bis zu 8; bei unver­än­der­ter Bandbreite.

Zudem gibt AMD offen zu, dass die neue Topo­lo­gie nicht unter allen Umstän­den zu kür­ze­ren Laten­zen führt. So sol­len durch die höhe­re Anzahl an Ker­nen inner­halb des CCX eini­ge klei­ne Zugriffs­mus­ter län­ger dau­ern als zuvor und auch die L3-Latenz dürf­te auf­grund der Grö­ße etwas län­ger dau­ern; laut AMD 46 Tak­te gegen­über 39 bei Zen 2 und 35 bei Zen 1. Unter dem Strich bleibt aber ein Plus, schon allein dadurch, dass die Laten­zen nun gleich­blei­bend sind und der für jeden Kern nutz­ba­re L3-Cache dop­pelt so groß ist. AMD ver­spricht bei 1080p-Gam­ing im Mit­tel +26 % Per­for­mance, bei spe­zi­el­len CPU-inten­si­ven Titeln wie League of Legends oder Coun­ter-Strik: Glo­bal Offen­si­ve noch deut­lich mehr (+40 bis 50 %).

 

Bei Model­len mit mehr als einem Com­pu­te-Die, also bei den 12- und 16-Ker­nern, bleibt natür­lich eine zusätz­li­che Latenz, näm­lich immer dann, wenn ein Kern aus Die 1 mit einem Kern aus Die 2 kom­mu­ni­zie­ren muss. Dies geschieht wie bis­her via IF.